2011-08-05 25 views
5

यह पहली बार है जब मैं वीसीडी उत्पन्न करने की कोशिश करता हूं और मुझे कुछ परेशानी हो रही है।वीसीडी डंप। कैसे?

मेरे पास एक testbench है जिसे bench_minimips.vhdl कहा जाता है जिसमें इकाई sim_minimips होती है। मैं इसे अनुकरण करना चाहता हूं और इसके बाहर एक वीसीडी प्राप्त करना चाहता हूं।

मैं Modelsim खोल में निम्न आदेश टाइप कर रहा हूँ:

vsim work.sim_minimips 
vcd file myvcd1.vcd 
vcd add -file bench_minimips.vhd/* 
run 

लेकिन अगर मैं एक खाली फ़ाइल में myvcd1.vcd खोलें। डंप बनाने के लिए मुझे क्या करना चाहिए?

मैं भी एक और मंच में आदेश sudgested के रूप में tryed:

wlf2vcd -o myvcd2.vcd vsim.wlf 

लेकिन एक त्रुटि उत्पन्न होता है।

मैं वास्तव में समझ में खो गया हूं क्योंकि मुझे मिली सभी वेबसाइटें आपको अल्टेरा या किसी अन्य कंपनी द्वारा उत्पन्न टीसीएल का उपयोग करने के लिए कहती हैं और मेरे पास देखने के लिए उनकी सामग्री नहीं है।

क्या कोई जानता है कि मुझे क्या करना चाहिए?

बेस्ट, Stefano

उत्तर

5

vcd add को -file पैरामीटर संकेत निर्दिष्ट करने के लिए इस्तेमाल नहीं किया है लेकिन वीसीडी का नाम (यदि आप कई बना चुके हैं तो)।

इसके बजाय आप अपने सिमुलेशन में ऑब्जेक्ट्स जोड़ने के लिए क्या करना चाहते हैं। उदाहरण के लिए:

vcd file myvcd1.vcd 
vcd add -r /sim_minimips/* 
+0

सही! इसने काम कर दिया! आपका बहुत बहुत धन्यवाद! – Stefano

संबंधित मुद्दे