2015-09-14 6 views
10

फेडोरा 22 64-बिट में मॉडेलिम के साथ क्वार्टस 13.0 स्थापित किया गया। 32-बिट में क्वार्टस चल रहा है क्योंकि मुझे बहुत सारी समस्याएं मिलती हैं और अन्यथा समस्याएं होती हैं। हालांकि, मैं क्वार्टस शुरू कर सकता हूं, एक प्रोजेक्ट बना सकता हूं, इसे संश्लेषित कर सकता हूं, सिमुलेशन विंडो को फायर कर सकता हूं और सिग्नल को कॉन्फ़िगर कर सकता हूं। फिर, मॉडेलिम लॉन्च करने के लिए बटन पर क्लिक करते समय, यह काम करना शुरू कर देता है, लेकिनअल्टेरा क्वार्टस झूठा कहता है कि मॉडेलिम स्थापित नहीं है

मॉडलसिम-अल्टेरा नहीं मिला था। ModelSim-Altera जो Quartus द्वितीय संस्थापक के साथ शामिल है स्थापित करें, या बजाय "सिमुलेशन> विकल्प> Quartus द्वितीय सिम्युलेटर"

का चयन यह केवल सच नहीं है द्वारा Quartus द्वितीय सिम्युलेटर का उपयोग करें। मैं बनाम बनाम मॉडेलिम खुद को शुरू कर सकता हूं। यहां पूर्ण आउटपुट का पालन किया गया है। इसे हल करने के लिए कोई भी सुझाव +1 होगा और कोई सुझाव नहीं जो समझ में आएगा मेरे द्वारा दंडित किया जाएगा।

Device family: Cyclone II 
Running quartus eda_testbench 
>> quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog grindar -c grindar {--vector_source=/home/johan/Projects/Studies/vhdl/labs/lab1/and_grind.vwf} {--testbench_file=./simulation/qsim/grindar.vt} 
PID = 20951 
******************************************************************* 
Running Quartus II 32-bit EDA Netlist Writer 
    Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 
    Processing started: Sat Sep 12 20:31:33 2015 
Command: quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog grindar -c grindar --vector_source=/home/johan/Projects/Studies/vhdl/labs/lab1/and_grind.vwf --testbench_file=./simulation/qsim/grindar.vt 
Selected device EP2C35F672C6 for design "grindar" 
Generated Verilog Test Bench File ./simulation/qsim/grindar.vt for simulation 
Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings 
    Peak virtual memory: 318 megabytes 
    Processing ended: Sat Sep 12 20:31:34 2015 
    Elapsed time: 00:00:01 
    Total CPU time (on all processors): 00:00:01 
Running quartus eda_func_netlist 
>> quartus_eda --functional=on --simulation --tool=modelsim_oem --format=verilog grindar -c grindar 
PID = 20953 
******************************************************************* 
Running Quartus II 32-bit EDA Netlist Writer 
    Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 
    Processing started: Sat Sep 12 20:31:36 2015 
Command: quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog grindar -c grindar 
Selected device EP2C35F672C6 for design "grindar" 
Generated file grindar.vo in folder "/home/johan/Projects/Studies/vhdl/labs/lab1/simulation/modelsim/" for EDA simulation tool 
Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings 
    Peak virtual memory: 318 megabytes 
    Processing ended: Sat Sep 12 20:31:37 2015 
    Elapsed time: 00:00:01 
    Total CPU time (on all processors): 00:00:01 
******************************************************************* 
ModelSim-Altera was not found. Please install ModelSim-Altera which is included with the Quartus II installer, or use the Quartus II Simulator instead by selecting "Simulation > Options > Quartus II Simulator" 

उत्तर

0

कृपया जांच लें कि ModelSim द्विआधारी के लिए पथ सही ढंग से उपकरण के अंतर्गत निर्दिष्ट किया जाता है -> विकल्प

enter image description here

मैं Windows में हूँ, लेकिन उम्मीद है कि सेटिंग लिनक्स

के तहत ही होना चाहिए
+0

मुझे यह उल्लेख करना चाहिए था कि मैंने वहां सही रास्ता सुनिश्चित किया है। दुर्भाग्य से यह मदद नहीं करता है। वैसे भी धन्यवाद! – Johan

+0

@ जोहान, क्या आप इस मुद्दे को हल करने के लिए आसपास आए थे। यह आपके अनुभव को जानने में मदद करेगा। – Prashant

+0

नहीं। मुझे लगता है कि क्वार्टस 13 में एक बग है और अल्टेरा इसे संबोधित करने के लिए तैयार नहीं है। हालांकि, यह विश्वविद्यालय कार्यक्रम वीडब्ल्यूएफ के लिए है जिसे मुझे अब और उपयोग करने की आवश्यकता नहीं है। यदि पूर्ण आरटीएल चला रहा है या सिमुलेशन पीसता है तो यह पूरी तरह से अच्छी तरह से काम करता है। – Johan

संबंधित मुद्दे