के संदर्भ में क्यों पास नहीं कर सकते हैं स्थिर और स्वचालित कार्यों के बीच क्या अंतर है।स्वत: और स्थिर कार्य के बीच क्या अंतर है, हम एक स्थिर कार्य
program class_ref;
int index,value;
class holding_values;
int ass_array[*];
task assign_value (int value,int index);
ass_array[index] = value;
endtask
function void disp(int index);
$display("%t %M:ASSOSIATIVA VALUE%d ",$time,ass_array[index]);
endfunction
endclass
initial begin
holding_values obc;
index =5;
value =88;
obc = new();
map(obc,value);
obc.disp(index);
end
task map(ref holding_values obc,ref int value);
value +=5;
obc.assign_value(value,index);
obc =null;
endtask
endprogram
अगर यह कोड निष्पादित होने पर यह त्रुटि
संदर्भ तर्क दे देंगे स्थिर कार्य-समारोह घोषणा
यदि कार्य "मानचित्र" स्वत: कार्यक्रम के लिए किया जाता है के अंदर अवैध है रन।
हमें कार्य को स्वचालित करने की आवश्यकता क्यों है? स्थिर और स्वचालित कार्यों के बीच क्या अंतर है?
यदि कार्य स्थैतिक है तो एक ही कार्य के कई आमंत्रण पहले से बुलाए गए कार्य को मार देंगे, इसलिए कार्यों के बीच चर का कोई साझाकरण नहीं है। @ ट्यूडर –
@ अक्षयपतिल मुझे ऐसा नहीं लगता है। यदि कार्य स्थैतिक है, तो एक ही कार्य के कई आमंत्रण पिछले कॉल को मार नहीं पाएंगे। यहां उदाहरण: http://www.edaplayground.com/x/8VC – AldoT