के साथ आउटपुट बंदरगाहों को अनदेखा कैसे करें अक्सर वीएचडीएल में मुझे लगता है कि एक निश्चित घटक में कई आउटपुट पोर्ट हैं। यानी हमारे उदाहरणों में से एक में हम निम्न घटक दिए गए थे:पोर्ट मैप्स
COMPONENT eight_bitadder
PORT (a, b: in std_logic_vector(7 downto 0);
f: in std_logic;
C: out std_logic_vector(7 downto 0);
o, z: out std_logic);
END COMPONENT;
कहाँ z निर्धारित करता है कि परिणाम 0 है, और ओ अतिप्रवाह पर चलाता है।
अब मेरे मामले में मैं इस योजक का उपयोग करना चाहता हूं, हालांकि वास्तविक परिणाम महत्व का नहीं है, बल्कि मैं केवल यह जांचना चाहता हूं कि परिणाम "0" है या नहीं। मैं निश्चित रूप से एक डमी सिग्नल जोड़ सकता हूं और बंदरगाह को इस सिग्नल में स्टोर कर सकता हूं, हालांकि यह अनिवार्य रूप से जटिल लगता है, और संश्लेषण के दौरान अतिरिक्त घटकों को जोड़ सकता है?
तो खुला एक विशेष कीवर्ड है - क्या इसका उपयोग सिंटैक्स के साथ भी किया जा सकता है जहां आप स्पष्ट रूप से बंदरगाहों का नाम नहीं देते हैं, और ऑर्डर का उपयोग करते हैं? (सिर्फ सत्यापन/स्पष्टता के लिए पूछ रहे हैं)? – paul23
हां, वीएचडीएल में "खुला" आरक्षित है। ईमानदारी से मैंने कभी कोशिश नहीं की है, इसे एक शॉट दें और मुझे बताएं कि यह कैसा चल रहा है। मैं हमेशा पोर्ट मैपिंग स्पष्ट रूप से घोषित करता हूं। – Russell
ने अच्छी तरह से इसका परीक्षण किया और हां, मैं गैर स्पष्ट नामकरण के साथ भी संकलित और संश्लेषित कर सकता था। एक बार फिर धन्यवाद। – paul23